Alpha 21464

A Wikipédiából, a szabad enciklopédiából

Az Alpha 21464 egy befejezetlen mikroprocesszor, amely az Alpha utasításkészlet-architektúra (ISA) implementálja. A fejlesztését a Digital Equipment Corporation kezdte el, és azt később a Compaq folytatta, miután felvásárolta a Digital-t. A mikroprocesszor EV8 jelölés vagy Araña kódnév alatt is ismert. Megjelentetését 2004-re tűzték ki, azonban 2001. június 25-én leállították a tervezést, mikor a Compaq bejelentette, hogy 2004-ig kivezeti az Alpha termékvonalat és inkább az Itanium processzorokat részesíti előnyben. Leállításakor az Alpha 21464 előrehaladott tervezési fázisban volt, de még nem lépett a tape-out fázisba (a tervek még nem álltak készen a gyártásra).[1][2]

A 21464 eredete az 1990-es évek közepe tájára nyúlik vissza, amikor egy amerikai számítógéptudós, Joel Emer, Dean Tullsennek az egyidejű többszálúság (simultaneous multithreading) terén folytatott kutatásai által inspirálva, szintén kutatni kezdte a témát a Washingtoni Egyetemen, majd az 1990-es évek végén népszerűsíteni kezdte azt és igyekezett az eredményeit a gyakorlatba is átültetni. Látszólag sikerrel, ugyanis a Compaq 1999 októberében az 1999-es Mikroprocesszor Fórumon bejelentette, hogy a következő Alpha mikroprocesszor már az SMT technológiát fogja alkalmazni.[3] Ekkoriban az Alpha 21464 processzort használó rendszerek megjelenését 2003-ra várták.[3]

Leírás[szerkesztés]

A mikroprocesszor egy nyolc kibocsátású szuperskalár kialakítás, sorrenden kívüli végrehajtással, négyutas SMT-vel[4] és nagyméretű futószalaggal. Egyszerre 16 utasítást hív le (olvas ki) a 64 KiB méretű kétutas csoport-asszociatív utasítás-gyorsítótárból. Az elágazásjósló ezután kiválasztja a „jó” utasításokat és beírja azokat egy változó méretű láncolt pufferbe. Az utasításbehívás sebessége elérheti az órajelciklusonként maximum 16 utasítást, de ez függ az elágazások sűrűségétől. A végrehajtóegységnek összességében több fokozata van, mint az előző Alpha megvalósításokban, és végeredményben a 21464 egy jelentős, 14 ciklusos minimális elágazástévesztési büntetéssel[5] rendelkezik.[6] A processzor fejlett elágazásbecslő algoritmust alkalmaz ezeknek a költséges büntetéseknek / ciklusveszteségeknek az elkerülésére.

Az SMT megvalósítása szükségessé tette bizonyos erőforrások, például a programszámláló többszörözését. Ebben a processzorban nem egy, hanem négy programszámláló található, mindegyik szál számára egy. Az előfeldolgozó után azonban igen kevés logikát kellett kibővíteni az SMT támogatásához. A regisztertár 512 bejegyzést tartalmaz, de a méretet a feldolgozás alatt álló utasítások számának maximuma határozta meg, nem az SMT. A regisztertárhoz való hozzáféréshez három futószalag-fokozat szükséges, az áramkör fizikai mérete miatt. A négy szálból minden ciklusban legfeljebb nyolc utasítás küldhető a nyolc egész (fixpontos) és négy lebegőpontos végrehajtóegységhez. A 21464-esnek 64 KiB-os adat-gyorsítótára van, a Dcache, amely négy bankba van szervezve a kettős kapuzás támogatása miatt. Ezt támogatja még egy lapkára integrált 3 MiB méretű hat utas csoport-asszociatív egyesített másodlagos gyorsítótár, az Scache.

Az egész végrehajtóegység egy új struktúrát alkalmaz, a regiszter-gyorsítótárat. A regiszter-gyorsítótár célja nem a regisztertárhoz való hozzáférés három órajelütemű látenciájának, hanem az operanduselkerülő logika bonyolultságának csökkentése volt. A regiszter-gyorsítótár tartalmazza az előző N ciklusban az ALU által előállított eredményeket és a betöltő futószalagokból érkezett értékeket (az N értéke 8 körül lehet). A gyorsítótár átcímkézés A regiszter-gyorsítótár struktúra egyszerűen az előző processzorokban elosztott mux-ként megvalósított mechanizmus architekturális átcímkézése.

A rendszerinterfész hasonló az Alpha 21364-eséhez. Az integrált memóriavezérlők tíz RDRAM csatornát biztosítanak. A többprocesszoros működést egy router segíti, amellyel a processzor több 21464-hez csatlakoztatható, és ez architekturálisan 512 utas többprocesszoros működést támogat köztes logika nélkül.

0,125 μm-es (0,13 μm-esként is emlegetett) CMOS folyamattal készült volna, hét réz vezetőréteggel, részben szegényített szilícium szigetelőrétegen,[7] és alacsony K értékű dielektrikummal. Várható tranzisztorszáma 250 millió körüli volt, 420 mm²-es lapkaméret mellett.[2][8]

Tarantula[szerkesztés]

A Tarantula egy kiterjesztett Alpha architektúra és az azt megvalósító, tervbe vett processzor kódneve. Nem jutott túl a fejlesztési fázison, megvalósítása nem készült, még a 21464 elkészülte előtt beszüntették. A kiterjesztés az Alpha processzort vektoros feldolgozási lehetőséggel ruházta volna fel. A kiterjesztés specifikációja szerint harminckét 64 × 128 bites (8192 bit vagy 8 KiB) vektorregisztert, körülbelül 50 vektoros utasítást és számos újabb adatmozgató utasítást tartalmaz a vektorregiszterekhez. Az EV8 egyéb tervezett utódai többmagos kivitelben (két EV8-as maggal) készültek volna és elérték volna a 4,0 GHz-es órajelet is.

Jegyzetek[szerkesztés]

  1. Seznec, "Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor".
  2. a b Preston, "Design of an 8-wide Superscalar RISC Microprocessor with Simultaneous Multithreading".
  3. a b Diefendorff, "Compaq Chooses SMT for Alpha".
  4. simultaneous multithreading, egyidejű többszálú végrehajtás
  5. branch penalty, elágazásbüntetés, elágazási ciklusveszteség
  6. Seznec, "Design tradeoffs for the Alpha EV8 Conditional Branch Predictor".
  7. partially depleted silicon-on-insulator, PD-SOI
  8. Emer, "Simultaneous Multithreading: Multiplying Alpha Performance.

Fordítás[szerkesztés]

Ez a szócikk részben vagy egészben az Alpha 21464 című angol Wikipédia-szócikk ezen változatának fordításán alapul. Az eredeti cikk szerkesztőit annak laptörténete sorolja fel. Ez a jelzés csupán a megfogalmazás eredetét és a szerzői jogokat jelzi, nem szolgál a cikkben szereplő információk forrásmegjelöléseként.

Források[szerkesztés]

  • Diefendorff, Keith (6 December 1999). "Compaq Chooses SMT for Alpha". Microprocessor Report, Volume 13, Number 16.
  • Emer, Joel (1999). "Simultaneous Multithreading: Multiplying Alpha Performance". Proceedings of Microprocessor Forum 1999.
  • Espasa, Roger; et al. (2002). "Tarantula: A Vector Extension to the Alpha Architecture". Proceedings of the 29th Annual International Symposium on Computer Architecture.
  • Preston, Ronald P.; et al. (2002). "Design of an 8-wide Superscalar RISC Microprocessor with Simultaneous Multithreading". Proceedings of the 2002 IEEE International Solid-State Circuits Conference.
  • Seznec, Andre; et al. (2002). "Design Tradeoffs for the Alpha EV8 Conditional Branch Predictor". Proceedings of the 29th IEEE-ACM International Symposium on Computer Architecture.

További információk[szerkesztés]

Kapcsolódó szócikkek[szerkesztés]